Агуулгын хүснэгт:

VHDL дахь UART -ийн дизайн: 5 алхам
VHDL дахь UART -ийн дизайн: 5 алхам

Видео: VHDL дахь UART -ийн дизайн: 5 алхам

Видео: VHDL дахь UART -ийн дизайн: 5 алхам
Видео: Бангладеш дахь эмэгтэйчүүдийн там "Кандапара тосгон" 2024, Долдугаар сарын
Anonim
VHDL дахь UART -ийн дизайн
VHDL дахь UART -ийн дизайн

UART нь бүх нийтийн асинхрон хүлээн авагч дамжуулагч гэсэн үг юм. Энэ бол хамгийн түгээмэл бөгөөд хамгийн энгийн цуваа холбооны протокол юм. Энэ зааварчилгаанд та VHDL дээр UART модулийг хэрхэн зохион бүтээх талаар сурах болно.

Алхам 1: UART гэж юу вэ?

Төрөл бүрийн дагалдах төхөөрөмжүүдтэй холбогдохын тулд процессорууд эсвэл хянагчид ихэвчлэн UART холболтыг ашигладаг. Энэ бол энгийн бөгөөд хурдан цуваа холбоо юм. UART нь бараг бүх процессоруудад хамгийн бага шаардлага байдаг тул тэдгээрийг дахин ашиглах, нэгтгэхэд хялбар болгох үүднээс VHDL эсвэл Verilog дахь Soft IP цөм болгон бүтээдэг.

Алхам 2: Техникийн үзүүлэлтүүд

Зохион бүтээгдсэн UART -ийн техникийн үзүүлэлтүүдийг доор өгөв.

* UART стандарт дохио.

* 600-115200 хооронд тохируулагдах baud rate.

* Дээж авах = 8x @хүлээн авагч

* FPGA батлагдсан дизайн - Xilinx Artix 7 самбар дээр.

* UART -ийн дагалдах төхөөрөмжүүд дээр туршиж үзсэн, Hyperterminal амжилттай болсон - бүх баудратууд

Алхам 3: Дизайн арга

  1. Бид 3 модулийг зохион бүтээх бөгөөд дараа нь нэгтгэн UART -ийг дуусгах болно.

    • Дамжуулагч модуль: Өгөгдлийн цуваа дамжуулалтыг хариуцдаг
    • Хүлээн авагч модуль: Цуваа өгөгдөл хүлээн авахад анхаарал тавьдаг
    • Baud генераторын модуль: Baud цаг үйлдвэрлэхэд анхаарал тавьдаг.
  2. Baud генераторын модулийг динамикаар тохируулах боломжтой. Энэ нь хүссэн хурдны дагуу үндсэн цагаас хоёр бауд цаг үүсгэдэг. Нэг нь дамжуулагч, нөгөө нь хүлээн авагчийн хувьд.
  3. Хүлээн авагчийн модуль нь хүлээн авах явцад гарсан алдааны магадлалыг багасгахын тулд дээж авах хурдыг 8x ашигладаг, өөрөөр хэлбэл хүлээн авагчийн бодын цаг нь 8х дамжуулагч бауд цаг юм.
  4. Хяналтын дохио нь дамжуулах, хүлээн авах, түүнчлэн тасалдлын дохиог хянах боломжтой.
  5. Стандарт UART цуваа интерфэйс нь парит битгүй, нэг зогсолт ба эхлэх бит, 8 өгөгдлийн бит юм.
  6. Параллель өгөгдлийг UART -ээс тэжээж, хүлээн авдаг процессор эсвэл хянагчтай харьцах зэрэгцээ интерфейс.

Алхам 4: Загварчлалын үр дүн

Симуляцийн үр дүн
Симуляцийн үр дүн

Алхам 5: Хавсаргасан файлууд

* UART дамжуулагч модуль -vhd файл

* UART хүлээн авагч модуль - vhd файл

* Baud генераторын модуль - vhd файл

* UART модуль - Дээрх модулийг нэгтгэсэн үндсэн дээд модуль - vhd файл

* UART IP Core -ийн бүрэн баримт бичиг - pdf

Асууж лавлах зүйл байвал надтай холбоо бариарай.

Миту Раж

намайг дага:

Асуулт авахыг хүсвэл [email protected] хаягаар холбогдоно уу

Зөвлөмж болгож буй: